Intel hits key 18A node milestone, will challenge TSMC in production of next-gen AI, server chips

1 month ago 19

The Intel 18A process has generated significant interest from other chipmakers, who believe it could be more competitive than TSMC’s upcoming three- and two-nanometer nodes. This gives Intel a proper chance to out do TSMC in the foundry business read more

Intel hits key 18A node milestone, will challenge TSMC in production of next-gen AI, server chips

Intel's progress with the 18A process node is seen as a significant step towards its goal of competing with other major players in the contract chip manufacturing industry, such as TSMC, Samsung Electronics Co., and GlobalFoundries Inc. Image Credit: Reuters

Intel has made significant progress in its chip manufacturing technology, particularly with the new 18A process node. This innovation is set to revolutionize the production of next-generation artificial intelligence (AI) and server chips. The company’s recent achievements have shown promising signs for the future of chip technology and its potential impact on various industries.

Intel recently announced that its lead products on the Intel 18A process node, Panther Lake and Clearwater Forest, have successfully emerged from the fabrication process. These products, which are a PC client processor and a server processor respectively, have not only been powered on but have also booted operating systems.

Major milestone for Intel’s foundry business
Intel was able to achieve this in less than two quarters since they taped-out. Both the products are on track to enter production in 2025. Additionally, Intel revealed that the first external customer is expected to tape out on Intel 18A in the first half of the coming year.

In July, Intel introduced the 18A Process Design Kit (PDK) 1.0. This set of design tools enables foundry customers to leverage the capabilities of RibbonFET gate-all-around transistor architecture and PowerVia backside power delivery in their designs.

Intel’s Electronic design automation (EDA) and intellectual property (IP) partners are also working to update their offerings, which should allow customers to begin their final production designs.

The Intel 18A process, a 1.8-nanometer-class technology, is expected to be critical in manufacturing a new generation of computer chips. The recent update from Intel indicated that the Panther Lake and Clearwater Forest products are the first to utilize this advanced production node. The availability of the process design kit is crucial for Intel Foundry customers who aim to create custom chips using the 18A process.

A giant leap forward
Intel’s progress with the 18A process node is seen as a significant step towards its goal of competing with other major players in the contract chip manufacturing industry, such as Taiwan Semiconductor Manufacturing Co. (TSMC), Samsung Electronics Co., and GlobalFoundries Inc.

Under Pat Gelsinger, Intel’s CEO, the tech giant has opened its chip fabrication facilities to third-party chipmakers for the first time, aiming to expand its business. However, this initiative has yet to yield the substantial revenue boost the company is hoping for.

The 18A process node is the second after Intel 20A to use gate-all-around RibbonFET transistors and PowerVia backside power delivery. These technologies are crucial for data center chips that require significant energy. Compared to Intel’s two-nanometer-class manufacturing process, the 18A node is expected to deliver better-optimized RibbonFET designs and improvements in PowerVia, resulting in a 10% boost in overall performance and efficiency.

Panther Lake and Clearwater Forest are based on the “Darkmont” E-cores, an updated version of the Skymont cores that debuted with the Lunar Lake chips.

Great news for Intel’s own chip business
While specific improvements with Darkmont have not been detailed, the Skymont architecture represented a significant departure from the previous Crestmont architecture found in Intel’s older Meteor Lake and Sierra Forest chips.

Furthermore, Clearwater Forest will be the first Intel chips to feature Foveros Direct 3D chip stacking technology, using a base die fabricated on Intel 3-T.

Intel’s update also mentioned that Panther Lake’s memory controllers are already operating at their target frequency. Alongside this progress, Intel released the first comprehensive Process Design Kit for the 18A node, providing chip fab customers with all the necessary tools to complete their processor designs and align them with Intel’s process specifications.

The company has made substantial efforts to ensure its PDK adheres to industry standards, addressing previous shortcomings that have hindered its contract foundry ambitions.

Intel’s proper chance to trump TSMC?
The Intel 18A process has generated significant interest from other chipmakers, who believe it could be more competitive than TSMC’s upcoming three- and two-nanometer nodes, which are set to begin tape-out this year and next year, respectively.

Intel’s ecosystem partners are updating their technology process flows and tools to align with the PDK, enabling chipmakers to finalise their production designs.

The continued interest from external foundry customers designing on Intel 18A suggests that Intel’s IDM 2.0 and systems foundry strategy is gaining traction.

Intel is in dire need of this strategy to succeed. Despite efforts to revitalise its business, the desired results have yet to materialise. Recently, Intel announced plans to cut 15 per cent of its global workforce — a significant layoff for the chipmaking industry.

This announcement followed disappointing second-quarter financial results that missed analysts’ targets on earnings and revenue. The company’s stock suffered, losing more than 26 per cent of its value.

Intel hopes that the successful rollout of the 18A process node will help put these problems behind it. The company expects external customers to tape out their first 18A designs in the first half of the next year, with enterprise high-volume production anticipated in early 2026.

Read Entire Article